Deep reactive ion etching of Si-based materials Oxford Instruments Plasma Technology PlasmaPro 100 (DRIE)


Deep reactive ion etching of Si-based materials Oxford Instruments Plasma Technology PlasmaPro 100

Guarantor:
Marek Eliáš, Ph.D.

Instrument status:
Operational Operational, 2.10.2023 13:13

Equipment placement:
CEITEC Nano - C1.34


Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes, and trenches in wafers/substrates, typically with high aspect ratios. It was developed for microelectromecha­nical systems (MEMS), which require these features, but is also used to excavate trenches for high-density capacitors for DRAM and more recently for creating through silicon via´s (TSV)´s in advanced 3D wafer-level packaging technology.
There are two main technologies for high-rate DRIE: cryogenic and Bosch, although the Bosch process is the only recognized production technique. Both Bosch and cryo processes can fabricate 90° (truly vertical) walls, but often the walls are slightly tapered, e.g. 88° („reentrant“) or 92° („retrograde“).
Another mechanism is sidewall passivation: SiOxFy functional groups (which originate from sulfur hexafluoride and oxygen etch gases) condense on the sidewalls and protect them from lateral etching. As a combination of these processes deep vertical structures can be made.


Publications:

Show more publications...

Photogallery

Specification

ICP  3.0 MHz on the topmax. power 3000 W
CCP 13.56 MHz at substrate electrode (bias)max. power 300 W
Substrate temperaturefrom -150 to 300 °C
Sample sizeup to 6"
He backside cooling
Load lock
Bosch or cryo process
Metal-clean reactor
Gases:SF6, C4F8, O2, CHF3, Ar
Processesetching  of Si,SiO2, SiN


Documents

Here is place for your documents.